Skip to content

Commit

Permalink
wally and doc mention
Browse files Browse the repository at this point in the history
  • Loading branch information
sinlerdev committed Nov 28, 2022
1 parent 4e7ca2b commit 7a4d45f
Show file tree
Hide file tree
Showing 2 changed files with 10 additions and 0 deletions.
2 changes: 2 additions & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,8 @@

<br><br><br><br><br>
An ultra-fast, multi-paradigm and modern reactive state management library intended for performance-critical systems - while also providing a simple and declarative API.

Check the [docs](https://plothan.github.io/Vinum/) here.
___

## Features
Expand Down
8 changes: 8 additions & 0 deletions wally.toml
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
[package]
name = "sinlerdev/vinum"
version = "0.1.0"
registry = "https://github.com/UpliftGames/wally-index"
realm = "shared"
description = "A multi-paradigm, fast and modern reactive state management library."

[dependencies]

0 comments on commit 7a4d45f

Please sign in to comment.