Skip to content

Q&A 0510

Jianfeng An edited this page May 10, 2017 · 11 revisions

gtkWave默认显示值是十六进制

而观察的存储器下标是十进制,所以要注意转换。 例如想观察目的寄存器地址显示为11,对应十进制为17,所以要看regFile(17)的值。 在gtkWave信号上点击右键,可以改为十进制显示,这样就不容易弄混。

Clone this wiki locally